CHIPS act – tästä syystä Yhdysvallat käyttää miljardeja puolijohdeteollisuuteen

COVID-19-pandemian alkuvaiheessa alkanut maailmanlaajuinen puolijohdepula on aiheuttanut viime vuosina valtavan määrän toimitusketjuhäiriöitä https://www.etoro.com/fi/smartportfolios/globallogistics/stats. Puolijohteita tai ”siruja”, kuten niitä usein kutsutaan, käytetään nykyään melkein kaikissa elektronisissa laitteissa, ja pula on johtanut älypuhelimien, kannettavien tietokoneiden, https://www.etoro.com/fi/smartportfolios/inthegame pelikonsolien, lääkinnällisten laitteiden, sähköajoneuvojen ja monien muiden elektronisten tuotteiden huonoon saatavuuteen.

Pyrkiessään välttämään samanlaista sirupulaa tulevaisuudessa useat maat yrittävät parhaillaan lisätä kotimaista puolijohteiden valmistusta, jotta ne eivät olisi niin riippuvaisia maista kuten Taiwan, joka tuottaa tällä hetkellä noin 90 % maailman kehittyneistä siruista ja Etelä-Korea. Yhdysvallat on yksi tällainen maa.

Yhdysvallat on kiistattomasti edelleen puolijohdesuunnittelun maailmanlaajuinen johtaja, sillä siellä toimii yrityksiä kutenNvidia, Qualcomm ja Advanced Micro Devices, jotka suunnittelevat siruja, mutta eivät valmista niitä. Valmistuksessa ilmenee kuitenkin puutteita, koska ”valimoita” (yrityksiä, jotka keskittyvät puhtaasti puolijohteiden valmistukseen, kuten Taiwan Semiconductor Manufacturing Company) ja integroitujen laitteiden valmistajia (yrityksiä, jotka sekä suunnittelevat että valmistavat siruja, kuten Intel ja Samsung) puuttuu. Nykyään Yhdysvallat tuottaa vain noin 10 % maailman siruista (kun luku oli noin 40 % vuonna 1990), mutta eikä lainkaan edistyneimpiä siruja. Tämä ei ole ihanteellista. Ilman kotimaisia sirujen valmistuslaitoksia maa on haavoittuvainen useilla tasoilla.

Sijoita siruteknologiaan

Puolijohteiden valmistus: ratkaisevan tärkeää kansalliselle turvallisuudelle

Vaikka mahdollinen tuleva siruihin liittyvä kulutuselektroniikan puute kaikkialla Yhdysvalloissa voisi olla maalle valtava ongelma, kansallinen turvallisuus on sitäkin suurempi huolenaihe. Tämä johtuu siitä, että nykyään kaikki Yhdysvaltojen tärkeimmät puolustusjärjestelmät ja -alustat tukeutuvat toiminnassaan puolijohteisiin. Tutkajärjestelmät, satelliitit, hävittäjälentokoneet, lennokit, ohjukset ja viestintäjärjestelmät ovat kaikki riippuvaisia siruista. Ilman varmaa pääsyä käsiksi huippuluokan puolijohteisiin maan kyky puolustaa itseään ja liittolaisiaan vaarantuisi. Riippuvuus Taiwanista sirujen saamiseksi on siis todellinen riski puolustuksen näkökulmasta.

Ongelmaa pahentaa se, että Kiina on noussut Yhdysvaltojen merkittäväksi strategiseksi haastajaksi. Se investoi vahvasti omiin sotilaallisiin joukkoihinsa ja on asettanut tavoitteekseen rakentaa vuoteen 2027 mennessä ”täysin modernin” armeijan, joka perustuu teknologia-aloihin, kuten tekoälyyn (AI), kvanttilaskentaan, hypersoniikkaan ja mikroelektroniikkaan. Tämä on saanut Yhdysvaltain hallituksen huolestumaan erityisesti nyt, kun Kiina kenties aikoo vallata takaisin Taiwanin.

Kuten kansallisen tekoälykomission (NSCAI) puheenjohtaja ja varapuheenjohtaja sanoivat viime vuonna: ”Emme halua liioitella asemamme epävarmuutta, mutta kun otetaan huomioon, että suurin osa huippuluokan siruista tuotetaan yhdessä laitoksessa, jonka etäisyys vesiteitse pääasiallisesta strategisesta kilpailijastamme on vain 110 mailia, meidän on uudelleenarvioitava toimitusketjun häiriönsietokyvyn ja turvallisuuden merkitystä.

Puolijohteiden tuotantolaitoksen perustamiskustannukset

On siis selvää, että Yhdysvallat tarvitsee kiireisesti lisää valimoita ja integroitujen laitteiden valmistajia. Ongelma tässä kuitenkin on, että puolijohteita valmistavan tuotantolaitoksen rakentaminen ei ole helppoa. Laitoksen perustaminen voi kestää kolmesta viiteen vuotta ja se voi maksaa 10-20 miljardia dollaria. Tämän vuoksi siruyritykset keskittävät toimintansa joko suunnitteluun tai valmistukseen. Ne voivat olla tehokkaampia erikoistumalla jompaankumpaan näistä kahdesta alueesta.

53 miljardia dollaria valtion rahoitusta

Kannustaakseen siruyrityksiä rakentamaan kotimaisia tuotantolaitoksia, Yhdysvaltain hallitus on äskettäin esittänyt CHIPS and Science Act -lain, joka tunnetaan myös nimellä ”sirusäädös”. Tämä on historiallinen lakiesitys, joka ohjaa massiiviset 52,7 miljardia dollaria kotimaiseen puolijohteiden valmistukseen. Se sisältää 39 miljardia dollaria valmistuksen kannustimena, 13,2 miljardia dollaria tutkimukseen ja kehitykseen (T&K) sekä työvoiman kehittämiseen, ja 500 miljoonaa dollaria kansainvälisen tietoliikennetekniikan turvallisuuden ja puolijohteiden toimitusketjun toimintaan. Se tarjoaa myös 25 %:n investointiverohyvityksen puolijohteiden ja niihin liittyvien laitteiden valmistuksen pääomakuluista.

Tämän lakiesityksen tulisi viime kädessä auttaa vahvistamaan Yhdysvaltain sirututkimusta ja -valmistusta, toimitusketjuja sekä kansallista turvallisuutta, ja varmistamaan, että Amerikka pysyy johtajana tulevaisuuden aloilla, kuten nanoteknologiassa, puhtaassa energiassa, kvanttilaskennassa ja tekoälyssä.

Myös muut maat investoivat miljardeja siruihin

On syytä huomata, että Yhdysvallat ei ole ainoa maa, joka ohjaa juuri nyt huomattavan määrän rahoitusta kotimaiseen siruvalmistukseen. Helmikuussa Eurooppa joka on erittäin riippuvainen sirutuotannosta auto- ja teollisuusaloillaan ilmoitti EU:n sirusäädöksestä pyrkiessään puuttumaan puolijohdepulaan ja auttamaan blokkia saavuttamaan teknologiajohtajan aseman. Tämä ohjaa yli 43 miljardia euroa siruvalmistukseen vuoteen 2030 mennessä. Euroopan tavoitteena on saada Taiwan Semiconductor Manufacturing Companyn ja Samsungin kaltaiset yritykset rakentamaan tuotantolaitoksia eri puolille mannerta.

Samaan aikaan Japanissa hallituksen on määrä tukea Taiwan Semiconductor Manufacturing Companya uuden 7 miljardin dollarin arvoisen sirutehtaan rakentamisessa. Rakentamisen on määrä alkaa tänä vuonna, ja tuotannon odotetaan alkavan vuoden 2024 loppuun mennessä. Tämä tehdas toimittaa siruja Japanin elektronisten laitteiden valmistajille ja autoteollisuuden yrityksille.

Mitkä puolijohdeosakkeet voisivat hyötyä sirusäädöksestä?

Tämä valtava rahoituksen määrä, joka on varattu kotimaiseen siruvalmistukseen Yhdysvalloissa, voisi mahdollisesti hyödyttää monia puolijohdeyrityksiä. Joitakin osakkeita, jotka voisivat hyötyä sirusäädöksestä, ovat:

  • Inteli. Intel liikevaihdon perusteella maailman suurin puolijohdeyritys sijoitti äskettäin 20 miljardia dollaria siruja valmistavan ”megatehtaan” rakentamiseen Ohioon. Se aikoo rakentaa vähintään kaksi tuotantolaitosta 1 000 hehtaarin alueelle, jossa se tutkii, kehittää ja valmistaa huippuluokan tietokonesiruja. Sillä on mahdollisuus laajentaa lopulta 2 000 hehtaariin ja jopa kahdeksaan tuotantolaitokseen.
  • Micron. Muistisirujen asiantuntija Micron on ilmoittanut 40 miljardin dollarin investoinnista huippuluokan muistisiruvalmistukseen Yhdysvalloissa. Pelkästään tämä investointi nostaa Yhdysvaltojen markkinaosuuden muistisirujen tuotannosta alle 2 prosentista jopa 10 prosenttiin seuraavan vuosikymmenen aikana.
  • Qualcomm. Langattoman teknologian voimanpesä Qualcomm on ilmoittanut uudesta kumppanuudesta GlobalFoundriesin kanssa, joka sisältää 4,2 miljardia dollaria siruvalmistukseen GlobalFoundriesin New Yorkin osavaltiossa sijaitsevan laitoksen laajennuksessa. Tämä auttaa sitä lisäämään puolijohteiden tuotantoa Yhdysvalloissa jopa 50 prosenttia seuraavien viiden vuoden aikana.
  • Applied Materials. Applied Materials on suurin puolijohteiden valmistukseen tarvittavien työkalujen tuottaja. Sen teknisillä ratkaisuilla luodaan nykyään lähes jokainen uusi siru. Sen palveluiden kysyntä voi siis olla suurta, kun yritykset uudistavat toimintaansa.
  • KLA. KLA:n prosessinohjauslaitteet, joita Intelin, Taiwan Semiconductor Manufacturing Companyn ja Samsungin kaltaiset yritykset käyttävät, ovat ehdottoman tärkeitä huippuluokan puolijohdetuotannolle. Siksi yrityksellä voisi olla tärkeä rooli Yhdysvaltain siruvalmistuslaitosten rakentamisessa.

Sijoita johtavien siruosakkeiden koriin eToron avulla

Ne, jotka ovat kiinnostuneita salkun alistamisesta puolijohdeteollisuudelle, saattavat haluta tutustua eToron Chip-Tech Smart Portfolio -salkkuun. Tämä on täysin allokoitu temaattinen sijoitussalkku, joka tarjoaa pääsyn useisiin johtaviin siruosakkeisiin yhdellä napsautuksella. Pitkän aikavälin sijoittajille suunniteltu Chip-Tech Smart Portfolio -salkku edustaa tehokasta ja kustannustehokasta tapaa lisätä puolijohdeosakkeita sijoitussalkkuusi.

Sijoita siruteknologiaan

Kopiointikaupankäynti ei ole sijoitusneuvontaa. Sijoituksesi arvo voi nousta tai laskea. Pääomasi on vaarassa.

Tämä viestintä on tarkoitettu vain tiedoksi ja oppimiseen, eikä sitä tule käsittää sijoitusneuvona, henkilökohtaisena suosituksena tai tarjouksena tai pyyntönä ostaa tai myydä mitään rahoitusinstrumentteja. Tämä materiaali on laadittu ottamatta huomioon kenenkään vastaanottajan sijoitustavoitteita tai taloudellista tilannetta, eikä materiaalia ole laadittu riippumatonta tutkimusta edistävien lakisääteisten ja sääntelyvaatimusten mukaisesti. Viittaukset rahoitusvälineen, indeksin tai paketoidun sijoitustuotteen aiempaan tai tulevaan kehitykseen eivät ole, eikä niitä tule pitää luotettavana indikaattorina tulevista tuloksista. eToro ei esitä eikä ota vastuuta tämän julkaisun sisällön tarkkuudesta tai täydellisyydestä.